Intel 18A process meets key benchmarks, production set for 2025


Intel has announced that its Panther Lake (AI PC client processor) and Clearwater Forest (server processor) have successfully completed their initial phases, including booting an operating system, within less than two quarters after tape-out.

Technology and Processor Highlights

Intel’s 18A node integrates RibbonFET and PowerVIA technologies. RibbonFET boosts transistor efficiency by tightly controlling electrical current, reducing power leakage, and enabling smaller chip components.

PowerVIA enhances power delivery by separating it from the wafer’s front side, thereby lowering resistance and improving power efficiency.

Both Panther Lake and Clearwater Forest have successfully booted operating systems, showcasing the effectiveness of these technologies.

Panther Lake meets DDR memory performance targets, while Clearwater Forest will be the first high-performance chip to combine RibbonFET, PowerVIA, and Foveros Direct 3D technologies.

Intel 18A Process Design Kit (PDK) 1.0

Intel’s foundry business is progressing with the release of the 18A Process Design Kit (PDK) 1.0, essential for completing chip designs.

Kevin O’Buckley, Head of Intel Foundry Services, noted that Panther Lake is yielding well and its DDR memory controller is meeting performance targets ahead of schedule.

Availability

According to Intel, the 18A process node development is on schedule, with production anticipated to begin in 2025, though specific release dates have not been confirmed. The first external customer’s chip design is set to tape out in early 2025.

Speaking about the launch, Kevin O’Buckley, Intel Senior Vice President and General Manager of Foundry Services, said,

We are leading the way with multiple systems foundry technologies for the AI era, delivering a comprehensive innovation stack essential for the next generation of products for Intel and our foundry customers. We are pleased with our progress and are collaborating closely with customers to bring Intel 18A to market in 2025.